Follow
Jingyu Pan
Jingyu Pan
Verified email at duke.edu
Title
Cited by
Cited by
Year
One fault is all it needs: Breaking higher-order masking with persistent fault analysis
J Pan, F Zhang, K Ren, S Bhasin
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2019
202019
Automatic Routability Predictor Development Using Neural Architecture Search
CC Chang, J Pan, T Zhang, Z Xie, J Hu, W Qi, CW Lin, R Liang, J Mitra, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
192021
Preplacement net length and timing estimation by customized graph neural network
Z Xie, R Liang, X Xu, J Hu, CC Chang, J Pan, Y Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
162022
Towards collaborative intelligence: Routability estimation based on decentralized private data
J Pan, CC Chang, Z Xie, A Li, M Tang, T Zhang, J Hu, Y Chen
Proceedings of the 59th ACM/IEEE Design Automation Conference, 961-966, 2022
92022
DEEP: Developing extremely efficient runtime on-chip power meters
Z Xie, S Li, M Ma, CC Chang, J Pan, Y Chen, J Hu
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
62022
Lithography hotspot detection via heterogeneous federated learning with local adaptation
X Lin, J Pan, J Xu, Y Chen, C Zhuo
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 166-171, 2022
62022
Rethink before releasing your model: ML model extraction attack in EDA
CC Chang, J Pan, Z Xie, J Hu, Y Chen
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
42023
The dark side: security concerns in machine learning for EDA
Z Xie, J Pan, CC Chang, Y Chen
arXiv preprint arXiv:2203.10597, 2022
42022
PANDA: Architecture-level power evaluation by unifying analytical and machine learning solutions
Q Zhang, S Li, G Zhou, J Pan, CC Chang, Y Chen, Z Xie
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 01-09, 2023
22023
Robustify ML-Based Lithography Hotspot Detectors
J Pan, CC Chang, Z Xie, J Hu, Y Chen
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
22022
The Dark Side: Security and Reliability Concerns in Machine Learning for EDA
Z Xie, J Pan, CC Chang, J Hu, Y Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
22022
Deep learning for routability
Z Xie, J Pan, CC Chang, R Liang, EC Barboza, Y Chen
Machine learning applications in electronic design automation, 35-61, 2022
22022
Fully automated machine learning model development for analog placement quality prediction
CC Chang, J Pan, Z Xie, Y Li, Y Lin, J Hu, Y Chen
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
12023
EDALearn: A Comprehensive RTL-to-Signoff EDA Benchmark for Democratized and Reproducible ML for EDA Research
J Pan, CC Chang, Z Xie, Y Chen
arXiv preprint arXiv:2312.01674, 2023
2023
Lithography Hotspot Detection Based on Heterogeneous Federated Learning with Local Adaptation and Feature Selection
J Pan, X Lin, J Xu, Y Chen, C Zhuo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
2023
Towards Fully Automated Machine Learning for Routability Estimator Development
CC Chang, J Pan, Z Xie, T Zhang, J Hu, Y Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
2023
Persistence Wears Down Resistance: Persistent Fault Analysis on Unprotected and Protected Block Cipher Implementations
S Bhasin, J Pan, F Zhang
The system can't perform the operation now. Try again later.
Articles 1–17