Follow
Andreas Moshovos
Andreas Moshovos
Professor, Electrical and Computer Engineering, University of Toronto
Verified email at eecg.toronto.edu - Homepage
Title
Cited by
Cited by
Year
Cnvlutin: Ineffectual-neuron-free deep neural network computing
J Albericio, P Judd, T Hetherington, T Aamodt, NE Jerger, A Moshovos
ACM SIGARCH Computer Architecture News 44 (3), 1-13, 2016
8972016
Demystifying GPU microarchitecture through microbenchmarking
H Wong, MM Papadopoulou, M Sadooghi-Alvandi, A Moshovos
2010 IEEE International Symposium on Performance Analysis of Systems …, 2010
6112010
Stripes: Bit-serial deep neural network computing
P Judd, J Albericio, T Hetherington, TM Aamodt, A Moshovos
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
5572016
CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit
ZA Ye, A Moshovos, S Hauck, P Banerjee
ACM SIGARCH computer architecture news 28 (2), 225-235, 2000
4342000
Dependence based prefetching for linked data structures
A Roth, A Moshovos, GS Sohi
Proceedings of the eighth international conference on Architectural support …, 1998
4281998
Dynamic speculation and synchronization of data dependences
A Moshovos, SE Breach, TN Vijaykumar, GS Sohi
Proceedings of the 24th annual international symposium on Computer …, 1997
3851997
Spatial memory streaming
S Somogyi, TF Wenisch, A Ailamaki, B Falsafi, A Moshovos
ACM SIGARCH Computer Architecture News 34 (2), 252-263, 2006
3652006
Low-leakage asymmetric-cell SRAM
N Azizi, A Moshovos, FN Najm
Proceedings of the 2002 international symposium on Low power electronics and …, 2002
2792002
Bit-pragmatic deep neural network computing
J Albericio, A Delmás, P Judd, S Sharify, G O'Leary, R Genov, ...
Proceedings of the 50th annual IEEE/ACM international symposium on …, 2017
2742017
JETTY: Filtering snoops for reduced energy consumption in SMP servers
A Moshovos, G Memik, B Falsafi, A Choudhary
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
2492001
RegionScout: Exploiting coarse grain sharing in snoop-based coherence
A Moshovos
32nd International Symposium on Computer Architecture (ISCA'05), 234-245, 2005
2362005
Streamlining inter-operation memory communication via data dependence prediction
A Moshovos, GS Sohi
Proceedings of 30th Annual International Symposium on Microarchitecture, 235-245, 1997
2131997
A tagless coherence directory
J Zebchuk, V Srinivasan, MK Qureshi, A Moshovos
Proceedings of the 42nd Annual IEEE/ACM International Symposium on …, 2009
2012009
Doppelgänger: A cache for approximate computing
JS Miguel, J Albericio, A Moshovos, NE Jerger
Proceedings of the 48th international symposium on microarchitecture, 50-61, 2015
1672015
Mechanisms for store-wait-free multiprocessors
TF Wenisch, A Ailamaki, B Falsafi, A Moshovos
Proceedings of the 34th annual international symposium on Computer …, 2007
1572007
Gobo: Quantizing attention-based nlp models for low latency and energy efficient inference
AH Zadeh, I Edo, OM Awad, A Moshovos
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
1552020
Slice-processors: an implementation of operation-based prediction
A Moshovos, DN Pnevmatikatos, A Baniasadi
Proceedings of the 15th international conference on Supercomputing, 321-334, 2001
1502001
Accurate and complexity-effective spatial pattern prediction
CF Chen, SH Yang, B Falsafi, A Moshovos
10th International Symposium on High Performance Computer Architecture (HPCA …, 2004
1492004
Table based data speculation circuit for parallel processing computer
AI Moshovos, SE Breach, TN Vijaykumar, GS Sohi
US Patent 5,781,752, 1998
1351998
Loom: Exploiting weight and activation precisions to accelerate convolutional neural networks
S Sharify, AD Lascorz, K Siu, P Judd, A Moshovos
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
1322018
The system can't perform the operation now. Try again later.
Articles 1–20