Follow
Pedro Lopez
Title
Cited by
Cited by
Year
Multi2sim: A simulation framework to evaluate multicore-multithreaded processors
R Ubal, J Sahuquillo, S Petit, P Lopez
19th International Symposium on Computer Architecture and High Performance …, 2007
2502007
Deterministic versus adaptive routing in fat-trees
C Gomez, F Gilabert, ME Gomez, P López, J Duato
2007 IEEE International Parallel and Distributed Processing Symposium, 1-8, 2007
2042007
Investigación criminal y criminalística
P López, P Gómez
Temis, 2000
1552000
A survey and evaluation of topology-agnostic deterministic routing algorithms
J Flich, T Skeie, A Mejia, O Lysne, P Lopez, A Robles, J Duato, ...
IEEE Transactions on Parallel and Distributed Systems 23 (3), 405-425, 2011
1272011
A routing methodology for achieving fault tolerance in direct networks
ME Gomez, NA Nordbotten, J Flich, P Lopez, A Robles, J Duato, T Skeie, ...
IEEE transactions on Computers 55 (4), 400-415, 2006
1172006
A family of mechanisms for congestion control in wormhole networks
E Baydal, P Lopez, J Duato
IEEE Transactions on Parallel and Distributed Systems 16 (9), 772-784, 2005
1152005
Performance evaluation of adaptive routing algorithms for k-ary n-cubes
J Duato, P Lopez
Parallel Computer Routing and Communication: First International Workshop …, 1994
1121994
Region-based routing: a mechanism to support efficient routing algorithms in NoCs
A Mejia, M Palesi, J Flich, S Kumar, P López, R Holsmark, J Duato
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (3), 356-369, 2009
1012009
Region-based routing: An efficient routing mechanism to tackle unreliable hardware in network on chips
J Flich, A Mejia, P Lopez, J Duato
First International Symposium on Networks-on-Chip (NOCS'07), 183-194, 2007
1002007
Software-based deadlock recovery technique for true fully adaptive routing in wormhole networks
JM Martínez, P Lopez, J Duato, TM Pinkston
Proceedings of the 1997 International Conference on Parallel Processing (Cat …, 1997
931997
Efficient adaptive routing in networks of workstations with irregular topology
F Silla, MP Malumbres, A Robles, P López, J Duato
Communication and Architectural Support for Network-Based Parallel Computing …, 1997
891997
A high performance router architecture for interconnection networks
J Duato, P Lopez, F Silla, S Yalamanchili
Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing …, 1996
831996
Reducing packet dropping in a bufferless noc
C Gómez, ME Gómez, P López, J Duato
European conference on parallel processing, 899-909, 2008
772008
A very efficient distributed deadlock detection mechanism for wormhole networks
P Lopez, JM Martínez, J Duato
Proceedings 1998 Fourth International Symposium on High-Performance Computer …, 1998
741998
Assessing fat-tree topologies for regular network-on-chip design under nanoscale technology constraints
D Ludovici, F Gilabert, S Medardoni, C Gomez, ME Gomez, P Lopez, ...
2009 Design, Automation & Test in Europe Conference & Exhibition, 562-565, 2009
712009
An efficient fault-tolerant routing methodology for meshes and tori
ME Gomez, J Duato, J Flich, P Lopez, A Robles, NA Nordbotten, O Lysne, ...
IEEE Computer Architecture Letters 3 (1), 3-3, 2004
702004
LASH-TOR: A generic transition-oriented routing algorithm
T Skeie, O Lysne, J Flich, P Lopez, A Robles, J Duato
Proceedings. Tenth International Conference on Parallel and Distributed …, 2004
662004
Improving routing performance in Myrinet networks
J Flich, MP Malumbres, P Lopez, J Duato
Proceedings 14th International Parallel and Distributed Processing Symposium …, 2000
632000
Deadlock-free routing in infiniband/sup tm/through destination renaming
P Lopez, J Flich, J Duato
International Conference on Parallel Processing, 2001., 427-434, 2001
612001
Deadlock-free adaptive routing algorithms for the 3D-torus: Limitations and solutions
P Lopez, J Duato
International Conference on Parallel Architectures and Languages Europe, 684-687, 1993
611993
The system can't perform the operation now. Try again later.
Articles 1–20