Follow
Chris H. Kim
Title
Cited by
Cited by
Year
Printed, sub-3V digital circuits on plastic from aqueous carbon nanotube inks
M Ha, Y Xia, AA Green, W Zhang, MJ Renn, CH Kim, MC Hersam, ...
ACS nano 4 (8), 4388-4395, 2010
4522010
A scaling roadmap and performance evaluation of in-plane and perpendicular MTJ based STT-MRAMs for high-density cache memory
KC Chun, H Zhao, JD Harms, TH Kim, JP Wang, CH Kim
IEEE journal of solid-state circuits 48 (2), 598-610, 2012
4052012
Impact of NBTI on SRAM read stability and design for reliability
SV Kumar, KH Kim, SS Sapatnekar
7th International Symposium on Quality Electronic Design (ISQED'06), 6 pp.-218, 2006
4002006
Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits
TH Kim, R Persaud, CH Kim
IEEE Journal of Solid-State Circuits 43 (4), 874-880, 2008
3382008
NBTI-aware synthesis of digital circuits
SV Kumar, CH Kim, SS Sapatnekar
Proceedings of the 44th annual Design Automation Conference, 370-375, 2007
2832007
An analytical model for negative bias temperature instability
SV Kumar, CH Kim, SS Sapatnekar
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
2762006
A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing
TH Kim, J Liu, J Keane, CH Kim
IEEE Journal of Solid-State Circuits 43 (2), 518-529, 2008
2752008
Aerosol Jet Printed, Low Voltage, Electrolyte Gated Carbon Nanotube Ring Oscillators with Sub-5µs Stage Delays
CDF M. Ha, J. Seo, P. Prabhumirashi, W. Zhang, M. Geier, M. Renn, C.H. Kim ...
Nano Letters, 2013
2662013
CorNET: Deep learning framework for PPG-based heart rate estimation and biometric identification in ambulant environment
D Biswas, L Everson, M Liu, M Panwar, BE Verhoef, S Patki, CH Kim, ...
IEEE transactions on biomedical circuits and systems 13 (2), 282-291, 2019
2532019
An all-in-one silicon odometer for separately monitoring HCI, BTI, and TDDB
J Keane, X Wang, D Persaud, CH Kim
IEEE Journal of Solid-State Circuits 45 (4), 817-829, 2010
2322010
Printed sub‐2 V gel‐electrolyte‐gated polymer transistors and circuits
Y Xia, W Zhang, M Ha, JH Cho, MJ Renn, CH Kim, CD Frisbie
Advanced Functional Materials 20 (4), 587-594, 2010
2282010
Ultra-low power DLMS adaptive filter for hearing aid applications
H Kim, K Roy
International Symposium on Low Power Electronics and Design, 2001
2242001
Solution-processed carbon nanotube thin-film complementary static random access memory
ML Geier, JJ McMorrow, W Xu, J Zhu, CH Kim, TJ Marks, MC Hersam
Nature nanotechnology 10 (11), 944-948, 2015
2232015
Gate leakage reduction for scaled devices using transistor stacking
S Mukhopadhyay, C Neau, RT Cakici, A Agarwal, CH Kim, K Roy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 11 (4), 716-730, 2003
2182003
Leakage power analysis and reduction for nanoscale circuits
A Agarwal, S Mukhopadhyay, A Raychowdhury, K Roy, CH Kim
IEeE Micro 26 (2), 68-80, 2006
2112006
A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme
TH Kim, J Liu, J Keane, CH Kim
2007 IEEE International Solid-State Circuits Conference. Digest of Technical …, 2007
2082007
Spin-based computing: Device concepts, current status, and a case study on a high-performance microprocessor
J Kim, A Paul, PA Crowell, SJ Koester, SS Sapatnekar, JP Wang, CH Kim
Proceedings of the IEEE 103 (1), 106-130, 2014
1842014
An on-chip NBTI sensor for measuring PMOS threshold voltage degradation
J Keane, TH Kim, CH Kim
International Symposium on Low Power Electronics and Design, 2007
1802007
A Voltage Scalable 0.26 V, 64 kb 8T SRAM With V Lowering Techniques and Deep Sleep Mode
TH Kim, J Liu, CH Kim
IEEE Journal of Solid-State Circuits 44 (6), 1785-1795, 2009
1792009
Dynamic V/sub TH/scaling scheme for active leakage power reduction
CH Kim, K Roy
Proceedings 2002 Design, Automation and Test in Europe Conference and …, 2002
1772002
The system can't perform the operation now. Try again later.
Articles 1–20